[ VLSI ] Special Cell ( TAP/END CAP/ DECAP/SPARE /FILLER CELLS) Description

   There are couple of physical / logical cells are used other than  traditional combinational cells in the designs , Like the Below ones . 

  • Tap cells
  • End Cap Cells 
  • Decap Cells 
  • Spare cells . 
  • Filler Cells   
                    
Tap Cells : 

            Tap Cells are used to prevent latch-up issues in the process. Traditionally these cells are used to connect VDD to the n-well and Substrate  to VSS. 

     Latch-Up condition : 
            latch-up to short circuit / low impedance path between Power and ground rails , which results to high current and damage to chip . Basically it happens due to NPN and PNP transistors combination  while formation of CMOS. 

End Cap Cells : 
 End Cap cells are placed  on edges of block boundary .
Will solve  Base DRC issues at boundary . 
In simple way these cells are placed on both sides of placement row to terminate the row. 

Decap Cells : 

        Decap cells are  Basically  charge storage device and it can be used to support instant  requirements to combinational /  sequential devices . These cells are placed near power hungry devices  like near memories / sequential cells to support instant current requirements . These cells are placed at the end of Routing .

Spare Cells : 
 
Group of standard cells  are placed / sprinkled in core area (like Inverter , AND, OR NAND. NOR ...)  at the time of placement. 
These are not drive nothing and simply placed in core area. Make sure these cells are placed  in critical region's .
Generally these cells are used  for functional / timing eco implementation after Base Freeze  . 

Filler Cells : 

These are dummy cells and which does not contain any POLY ( ACTIVE)  device . 
These cells does not have any functionality . 
These cells are used  for nwell  and power  & ground continuity for the placement row.



Comments

Popular posts from this blog